Filter
Conference proceeding

Search results

  • 2012

    Parallel implementation of R-trees on the GPU

    Luo, L., Wong, M. D. F. & Leong, L., 30 Jan 2012, 17th Asia and South Pacific Design Automation Conference. IEEE, p. 353-358 6 p. 6164973. (Proceedings of the ASP-DAC Asia South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    37 Citations (Scopus)
  • PGT_SOLVER: an efficient solver for power grid transient analysis

    Yu, T. & Wong, M. D. F., 5 Nov 2012, ICCAD '12: Proceedings of the International Conference on Computer-Aided Design. Hu, A. J. (ed.). Association for Computing Machinery (ACM), p. 647–652 6 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    26 Citations (Scopus)
  • Thermal via structural design in three-dimensional integrated circuits

    Hwang, L., Lin, K. L. & Wong, M. D. F., 19 Mar 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED). IEEE, p. 103-108 6 p. 6187481. (IEEE International Symposium on Quality Electronic Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    7 Citations (Scopus)
  • Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology

    Ma, Q., Zhang, H. & Wong, M. D. F., 3 Jun 2012, 49th ACM/IEEE Design Automation Conference - Proceedings 2012. Groeneveld, P. (ed.). Association for Computing Machinery (ACM), p. 591-596 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    51 Citations (Scopus)
  • 2011

    Accelerating aerial image simulation with GPU

    Zhang, H., Yan, T., Wong, M. D. F. & Patel, S. J., 7 Nov 2011, IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2011. IEEE, p. 178-184 7 p. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • An optimal algorithm for layer assignment of bus escape routing on PCBs

    Ma, Q., Young, E. F. Y. & Wong, M. D. F., 7 Jun 2011, 48th ACM/IEEE Design Automation Conference - Proceedings 2011. Association for Computing Machinery (ACM), p. 176-181 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    19 Citations (Scopus)
  • A provably good approximation algorithm for rectangle escape problem with application to PCB routing

    Ma, Q., Kong, H., Wong, M. D. F. & Young, E. F. Y., 28 Jan 2011, Proceedings of The 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. IEEE, p. 843-848 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    18 Citations (Scopus)
  • Characterization of the performance variation for regular standard cell with process nonidealities

    Zhang, H., Du, Y., Wong, M. D. F. & Chao, K. Y., Mar 2011, Design for Manufacturability through Design-Process Integration V. Rieger, M. L. & Mason, M. E. (eds.). SPIE, 79740T. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 7974).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • Effective decomposition algorithm for self-aligned double patterning lithography

    Zhang, H., Du, Y., Wong, M. D. F., Topaloglu, R. & Conley, W., Mar 2011, Optical Microlithography XXIV. Dusa, M. V. (ed.). SPIE, 79730J. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 7973).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    22 Citations (Scopus)
  • EUV mask preparation considering blank defects mitigation

    Du, Y., Zhang, H., Wong, M. D. F. & Topaloglu, R. O., Sept 2011, Photomask Technology 2011. SPIE, 816611. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8166).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    17 Citations (Scopus)
  • Hot spot detection for indecomposable self-aligned double patterning layout

    Zhang, H., Du, Y., Wong, M. D. F. & Topaloglu, R. O., Sept 2011, Photomask Technology 2011. Maurer, W. & Abboud, F. E. (eds.). SPIE, 81663E. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8166).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    6 Citations (Scopus)
  • Lithography-aware layout modification considering performance impact

    Zhang, H., Du, Y., Wong, M. D. F. & Chao, K-Y., 14 Nov 2011, Proceedings of the 12th International Symposium on Quality Electronic Design, ISQED 2011. IEEE, p. 437-441 5 p. (Proceedings of The International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    10 Citations (Scopus)
  • Mask cost reduction with circuit performance consideration for self-aligned double patterning

    Zhang, H., Du, Y., Wong, M. D. F. & Chao, K-Y., 28 Jan 2011, Proceedings of The 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. IEEE, p. 787-792 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    19 Citations (Scopus)
  • Routing with graphene nanoribbons

    Yan, T., Ma, Q., Chilstedt, S., Wong, M. D. F. & Chen, D., 27 Jan 2011, Proceedings of The 16th Asia and South Pacific Design Automation Conference, ASP-DAC 2011. 9781424475162: IEEE, p. 323-329 7 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    20 Citations (Scopus)
  • Self-aligned double patterning decomposition for overlay minimization and hot spot detection

    Zhang, H., Du, Y., Wong, M. D. F. & Topaloglu, R., 7 Jun 2011, 48th ACM/IEEE Design Automation Conference - Proceedings 2011. Association for Computing Machinery (ACM), p. 71-76 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    40 Citations (Scopus)
  • 2010

    Advances in PCB routing

    Wong, M. D. F., 13 Jun 2010, SLIP '10: Proceedings of the 12th ACM/IEEE International Workshop on System Level Interconnect Prediction. Association for Computing Machinery (ACM), p. 33-34 1 p. (Proceedings of The ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • An effective GPU implementation of breadth-first search

    Luo, L., Wong, M. & Hwu, W., 15 Jun 2010, 47th ACM/IEEE Design Automation Conference - Proceedings 2010. Association for Computing Machinery (ACM), p. 52-55 4 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    179 Citations (Scopus)
  • A negotiated congestion based router for simultaneous escape routing

    Ma, Q., Yan, T. & Wong, M. D. F., 24 Mar 2010, Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010. IEEE, p. 606-610 5 p. (Proceedings of The International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    26 Citations (Scopus)
  • An optimal algorithm for finding disjoint rectangles and its application to PCB routing

    Kong, H., Ma, Q., Yan, T. & Wong, M. D. F., 15 Jun 2010, 47th ACM/IEEE Design Automation Conference - Proceedings 2010. Association for Computing Machinery (ACM), p. 212-217 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    29 Citations (Scopus)
  • BDD-based circuit restructuring for reducing dynamic power

    Dinh, Q., Chen, D. & Wong, M. D. F., 3 Oct 2010, Proceedings of The 28th IEEE International Conference on Computer Design, ICCD 2010. IEEE, p. 548-554 7 p. (Proceedings - IEEE International Conference on Computer Design (ICCD): VLSI in Computers and Processors; vol. 2010-October).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • B-escape: A simultaneous escape routing algorithm based on boundary routing

    Luo, L., Yan, T., Ma, Q., Wong, M. D. F. & Shibuya, T., 14 Mar 2010, ISPD '10: Proceedings of the 19th International Symposium on Physical Design. Association for Computing Machinery (ACM), p. 19-25 7 p. (Proceedings of The ACM International Symposium on Physical Design, ISPD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    22 Citations (Scopus)
  • Configurable multi-product floorplanning

    Ma, Q., Wong, M. D. F. & Chao, K-Y., 21 Jan 2010, Proceedings of The 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. IEEE, p. 549-554 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DACPapers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • Dynamic power estimation for deep submicron circuits with process variation

    Dinh, Q., Chen, D. & Wong, M. D. F., 21 Jan 2010, Proceedings of The 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. IEEE, p. 587-592 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DACPapers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    12 Citations (Scopus)
  • Fast block-iterative domain decomposition algorithm for IR drop analysis in large power grid

    Zhong, Y. & Wong, M. D. F., 23 Mar 2010, Proceedings of the 11th International Symposium on Quality Electronic Design, ISQED 2010. IEEE, p. 277-283 7 p. (Proceedings of The International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    11 Citations (Scopus)
  • On process-aware 1-D standard cell design

    Zhang, H., Wong, M. D. F. & Chao, K. Y., 21 Jan 2010, Proceedings of The 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. IEEE, p. 838-842 5 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DACPapers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    19 Citations (Scopus)
  • On the escape routing of differential Pairs

    Yan, T., Wu, P-C., Ma, Q. & Wong, M. D. F., 7 Nov 2010, Proceedings of The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. IEEE, p. 614-620 7 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    15 Citations (Scopus)
  • Optimal simultaneous pin assignment and escape routing for dense PCBs

    Kong, H., Yan, T. & Wong, M. D. F., 20 Jan 2010, Proceedings of The 15th Asia and South Pacific Design Automation Conference, ASP-DAC 2010. IEEE, p. 275-280 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    16 Citations (Scopus)
  • Recent research development in PCB layout

    Yan, T. & Wong, M. D. F., 7 Nov 2010, Proceedings of The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2010. IEEE, p. 398-403 6 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    29 Citations (Scopus)
  • 2009

    3D floorplan design

    Wong, M. D. F., 25 Jul 2009, 2009 International Conference on Communications, Circuits and Systems, ICCCAS 2009. IEEE, p. 1097-1097 1 p. (International Conference on Communications, Circuits and Systems - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • A correct network flow model for escape routing

    Tan, Y. & Wong, M. D. F., 29 Jul 2009, 46th ACM/IEEE Design Automation Conference - Proceedings 2009. Association for Computing Machinery (ACM), p. 332-335 4 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    58 Citations (Scopus)
  • Advances in CAD for low power design

    Wong, M. D. F., Oct 2009, ASICON 2009 - Proceedings, 2009 8th IEEE International Conference on ASIC. IEEE, p. 780 1 p. (Proceedings of IEEE International Conference on ASIC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • A routing approach to reduce glitches in low power FPGAS

    Dinh, Q., Chen, D. & Wong, M. D. F., 29 Mar 2009, ISPD '09: Proceedings of the 2009 International Symposium on Physical Design, ISPD'09. Association for Computing Machinery (ACM), p. 99-105 7 p. (Proceedings of the International Symposium on Physical Design, ISPD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    8 Citations (Scopus)
  • Automatic bus planner for dense PCBs

    Hui, K., Tan, Y. & Wong, M. D. F., 29 Jul 2009, 46th ACM/IEEE Design Automation Conference - Proceedings 2009. Association for Computing Machinery (ACM), p. 326-331 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    29 Citations (Scopus)
  • Flip-chip routing with unified area-I/O pad assignments for package-board co-design

    Fang, J-W., Wong, M. D. F. & Chang, Y-W., 29 Jul 2009, 46th ACM/IEEE Design Automation Conference - Proceedings 2009. Association for Computing Machinery (ACM), p. 336-339 4 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    41 Citations (Scopus)
  • On using SAT to ordered escape problems

    Luo, L. & Wong, M. D. F., 21 Jan 2009, Proceedings of the 14th Asia and South Pacific Design Automation Conference, ASP-DAC 2009. IEEE, p. 594-599 6 p. (Proceedings of The Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    26 Citations (Scopus)
  • Optimal layer assignment for escape routing of buses

    Yan, T., Kong, H. & Wong, M. D. F., 2 Nov 2009, ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design. Association for Computing Machinery (ACM), p. 245-248 4 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    18 Citations (Scopus)
  • Thermal-driven analog placement considering device matching

    Lin, P-H., Zhang, H., Wong, M. D. F. & Chang, Y-W., 29 Jul 2009, 46th ACM/IEEE Design Automation Conference - Proceedings 2009. Association for Computing Machinery (ACM), p. 593-598 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    27 Citations (Scopus)
  • Uniformity-Aware standard cell design with accurate shape control

    Zhang, H., Wong, M. D. F., Chao, K. Y., Deng, L. & Choi, S. H., Feb 2009, Design for Manufacturability through Design-Process Integration III. Singh, V. K. & Rieger, M. L. (eds.). IEEE, 72751G. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 7275).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • Wire shaping is practical

    Zhang, H., Wong, M. D. F., Chao, K-Y. & Deng, L., 29 Mar 2009, ISPD '09: Proceedings of the 2009 International Symposium on Physical Design. Association for Computing Machinery (ACM), p. 131-138 8 p. (Proceedings of the International Symposium on Physical Design, ISPD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    5 Citations (Scopus)
  • 2008

    BSG-Route: A length-matching router for general topology

    Yan, T. & Wong, M. D. F., 10 Nov 2008, Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design Digest of Technical Papers, ICCAD 2008. IEEE, p. 499-505 7 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    44 Citations (Scopus)
  • Cell-based OPC with standard-cell fill insertion

    Deng, L., Chao, K. Y., Xiang, H. & Wong, M. D. F., Feb 2008, Design for Manufacturability through Design-Process Integration II. Singh, V. K. & Rieger, M. L. (eds.). IEEE, 69251L. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6925).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • Efficient ASIP design for configurable processors with fine-grained resource sharing

    Dinh, Q., Chen, D. & Wong, M. D. F., 25 Feb 2008, FPGA '08: Proceedings of the 16th International Symposium on Field-Programmable Gate Arrays. Association for Computing Machinery (ACM), p. 99-106 8 p. (Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    13 Citations (Scopus)
  • Manufacturing for design: A novel interconnect optimization method

    Zhang, H., Deng, L., Chao, K. Y. & Wong, M. D. F., Feb 2008, Design for Manufacturability through Design-Process Integration II. Singh, V. K. & Rieger, M. L. (eds.). SPIE, 69250G. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6925).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • Ordered escape routing based on Boolean satisfiability

    Luo, L. & Wong, M. D. F., 22 Jan 2008, Proceedings of The 13th Asia and South Pacific Design Automation Conference, ASP-DAC 2008. IEEE, p. 244-249 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    52 Citations (Scopus)
  • Thermal-aware IR drop analysis in large power grid

    Zhong, Y. & Wong, M. D. F., 18 Mar 2008, Proceedings of the 9th International Symposium on Quality Electronic Design, ISQED 2008. IEEE, p. 194-199 6 p. (Proceedings of The International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    26 Citations (Scopus)
  • 2007

    Archer: A history-driven global routing algorithm

    Ozdal, M. M. & Wong, M. D. F., 4 Nov 2007, Proceedings of The 2007 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2007. IEEE, p. 488-495 8 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    62 Citations (Scopus)
  • Boundary-based cellwise OPC for standard-cell layouts

    Pawlowski, D. M., Deng, L. & Wong, M. D. F., Feb 2007, Design for Manufacturability through Design-Process Integration. Wong, A. K. K. & Singh, V. K. (eds.). SPIE, 65211O. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6521).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • Coupling-aware dummy metal insertion for lithography

    Deng, L., Wong, M. D. F., Chao, K. Y. & Xiang, H., 24 Jan 2007, Proceedings of The 12th Asia and South Pacific Design Automation Conference, ASP-DAC 2007. IEEE, p. 13-18 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    20 Citations (Scopus)
  • Coupling-aware mixed dummy metal insertion for lithography

    Deng, L., Wong, M. D. F., Chao, K. Y. & Xiang, H., Mar 2007, Design for Manufacturability through Design-Process Integration. Wong, A. K. K. & Singh, V. K. (eds.). SPIE, 65210H. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6521).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • DDBDD: delay-driven BDD synthesis for FPGAs

    Cheng, L., Chen, D. & Wong, M. D. F., 23 Jan 2007, 44th ACM/IEEE Design Automation Conference - Proceedings 2007. Association for Computing Machinery (ACM), p. 910-915 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    16 Citations (Scopus)