Filter
Conference proceeding

Search results

  • 2017

    DtCraft: A distributed execution engine for compute-intensive applications

    Huang, T.-W., Lin, C.-X. & Wong, M. D. F., Nov 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, p. 757-765 9 p. 8203853. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    17 Citations (Scopus)
  • High-Level Synthesis for side-channel defense

    Konigsmark, S. T. C., Chen, D. & Wong, M. D. F., Jul 2017, 2017 IEEE 28th International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, p. 37-44 8 p. 7995257. (Proceedings of IEEE International Conference on Application-specific Systems, Architectures and Processors).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    11 Citations (Scopus)
  • 2016

    A distributed timing analysis framework for large designs

    Huang, T.-W., Wong, M. D. F., Sinha, D., Kalafala, K. & Venkateswaran, N., Jun 2016, 53rd ACM/IEEE Design Automation Conference. Association for Computing Machinery (ACM), p. 1-6 6 p. 7544358. (Proceedings of ACM/IEEE Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    13 Citations (Scopus)
  • Contact layer decomposition to enable DSA with multi-patterning technique for standard cell based layout

    Xiao, Z., Lin, C.-X., Wong, M. D. F. & Zhang, H., Jan 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 95-102 8 p. 7427995. (Proceedings of Asia and South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    25 Citations (Scopus)
  • Information dispersion for Trojan defense through high-level synthesis

    Konigsmark, S. T. C., Chen, D. & Wong, M. D. F., Jun 2016, 53rd ACM/IEEE Design Automation Conference 2016. Association for Computing Machinery (ACM), p. 1-6 6 p. 7544330. (Proceedings of ACM/IEEE Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    19 Citations (Scopus)
  • Performance evaluation considering mask misalignment in multiple patterning decomposition

    Tian, H. & Wong, M. D. F., Mar 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED). IEEE, p. 192-197 6 p. 7479199. (Proceedings of International Symposium on Quality Electronic Design (ISQED)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • 2015

    An efficient linear time triple patterning solver

    Tian, H., Zhang, H., Xiao, Z. & Wong, M. D. F., Jan 2015, The 20th Asia and South Pacific Design Automation Conference. IEEE, p. 208-213 6 p. 7059006. (Proceedings of Asia and South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    7 Citations (Scopus)
  • Contact pitch and location prediction for Directed Self-Assembly template verification

    Xiao, Z., Du, Y., Wong, M. D. F., Yi, H., Wong, H.-S. P. & Zhang, H., Jan 2015, The 20th Asia and South Pacific Design Automation Conference. IEEE, p. 644-651 8 p. 7059081. (Proceedings of Asia and South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    12 Citations (Scopus)
  • Model-based multiple patterning layout decomposition

    Guo, D., Tian, H., Du, Y. & Wong, M. D. F., Oct 2015, Photomask Technology 2015. Hayashi, N. & Kasprowicz, B. S. (eds.). SPIE, 963522. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 9635).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • On fast timing closure: speeding up incremental path-based timing analysis with mapreduce

    Huang, T.-W. & Wong, M. D. F., Jun 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP). IEEE, p. 1-6 6 p. 7171710. (Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    4 Citations (Scopus)
  • Polynomial time optimal algorithm for stencil row planning in e-beam lithography

    Guo, D., Du, Y. & Wong, M. D. F., Jan 2015, The 20th Asia and South Pacific Design Automation Conference. IEEE, p. 658-664 7 p. 7059083. (Proceedings of Asia and South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    6 Citations (Scopus)
  • 2014

    CNPUF: A Carbon Nanotube-based Physically Unclonable Function for secure low-energy hardware design

    Konigsmark, S. T. C., Hwang, L. K., Chen, D. & Wong, M. D. F., Jan 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 73-78 6 p. 6742869. (Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    55 Citations (Scopus)
  • Directed Self-Assembly (DSA) Template Pattern Verification

    Xiao, Z., Du, Y., Tian, H., Wong, M. D. F., Yi, H., Wong, H.-S. P. & Zhang, H., Jun 2014, 51st ACM/IEEE Design Automation Conference 2014. Association for Computing Machinery (ACM), p. 1-6 6 p. 6881382. (Proceedings of ACM/IEEE Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    29 Citations (Scopus)
  • DSA-Aware detailed routing for via layer optimization

    Du, Y., Xiao, Z., Wong, M. D. F., Yi, H. & Wong, H.-S. P., Feb 2014, Alternative Lithographic Technologies VI. Resnick, D. J. & Bencher, C. (eds.). SPIE, 90492J. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 9049).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    29 Citations (Scopus)
  • DSA template optimization for contact layer in 1D standard cell design

    Xiao, Z., Du, Y., Tian, H., Wong, M. D. F., Yi, H. & Wong, H. S. P., Feb 2014, Alternative Lithographic Technologies VI. Resnick, D. J. & Bencher, C. (eds.). SPIE, 904920. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 9049).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    19 Citations (Scopus)
  • Efficient simulation-based optimization of power grid with on-chip voltage regulator

    Yu, T. & Wong, M. D. F., Jan 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 531-536 6 p. 6742946. (Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    4 Citations (Scopus)
  • Fast path-based timing analysis for CPPR

    Huang, T.-W., Wu, P.-C. & Wong, M. D. F., Nov 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, p. 596-599 4 p. 7001413. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    16 Citations (Scopus)
  • Hybrid lithography for triple patterning decomposition and E-beam lithography

    Tian, H., Zhang, H., Xiao, Z. & Wong, M. D. F., Feb 2014, Optical Microlithography XXVII. Lai, K. & Erdmann, A. (eds.). SPIE, 90520P. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 9052).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    12 Citations (Scopus)
  • On timing closure: Buffer insertion for hold-violation removal

    Wu, P.-C., Wong, M. D. F., Nedelchev, I., Bhardwaj, S. & Parkhe, V., Jun 2014, 51st ACM/IEEE Design Automation Conference 2014. Association for Computing Machinery (ACM), p. 1-6 6 p. (Proceedings of ACM/IEEE Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    17 Citations (Scopus)
  • Optimization of standard cell based detailed placement for 16 nm FinFET process

    Du, Y. & Wong, M. D. F., Mar 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, p. 1-6 6 p. 6800571. (Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    32 Citations (Scopus)
  • Triple patterning aware detailed placement with constrained pattern assignment

    Tian, H., Du, Y., Zhang, H., Xiao, Z. & Wong, M. D. F., Nov 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, p. 116-123 8 p. 7001341. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    17 Citations (Scopus)
  • UI-route: An ultra-fast incremental maze routing algorithm

    Huang, T. W., Wu, P. C. & Wong, M. D., Jun 2014, 2014 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP). IEEE, p. 1-8 8 p. 6896583. (Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    4 Citations (Scopus)
  • UI-Timer: An ultra-fast clock network pessimism removal algorithm

    Huang, T. W., Wu, P. C. & Wong, M. D. F., Nov 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, p. 758-765 8 p. 7001436. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    16 Citations (Scopus)
  • 2013

    Advances in wire routing

    Wong, M. D. F., 4 Mar 2013, Fourteenth International Symposium on Quality Electronic Design ISQED 2013. Budnik, M. & Bowman, K. (eds.). IEEE, p. 257-257 1 p. 6523619. (Proceedings of International Symposium on Quality Electronic Design (ISQED)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • An ILP-based automatic bus planner for dense PCBs

    Wu, P.-C., Ma, Q. & Wong, M. D. F., 22 Jan 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 181-186 6 p. 6509593. (Proceedings of the ASP-DAC Asia South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    18 Citations (Scopus)
  • A novel and efficient method for power pad placement optimization

    Yu, T. & Wong, M. D. F., 4 Mar 2013, Proceedings of the Fourteenth International Symposium on Quality Electronic Design ISQED 2013. Budnik, M. & Bowman, K. (eds.). IEEE, p. 158-163 6 p. 6523604. (International Symposium on Quality Electronic Design (ISQED)).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    5 Citations (Scopus)
  • Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library

    Du, Y., Guo, D., Wong, M. D. F., Yi, H., Wong, H.-S. P., Zhang, H. & Ma, Q., 18 Nov 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). Henkel, J. (ed.). IEEE, p. 186-193 8 p. 6691117. (Proceedings of IEEE International Conference on Computer-Aided Design ).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    56 Citations (Scopus)
  • Color balancing for triple patterning lithography with complex designs

    Tian, H., Zhang, H. & Wong, M. D. F., Sept 2013, Photomask Technology 2013. Faure, T. B. & Ackmann, P. W. (eds.). SPIE, 88800I. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8880).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • Constrained pattern assignment for standard cell based triple patterning lithography

    Tian, H., Du, Y., Zhang, H., Xiao, Z. & Wong, M. D. F., 18 Nov 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). Henkel, J. (ed.). IEEE, p. 178-185 8 p. 6691116. (Proceedings of IEEE International Conference on Computer-Aided Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    25 Citations (Scopus)
  • DSA template mask détermination and cut redistribution for advanced 1D gridded design

    Xiao, Z., Du, Y., Wong, M. D. F. & Zhang, H., Sept 2013, Photomask Technology 2013. Faure, T. B. & Ackmann, P. W. (eds.). SPIE, 888017. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8880).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    37 Citations (Scopus)
  • Efficient aerial image simulation on multi-core SIMD CPU

    Wu, P.-C., Yan, T., Zhang, H. & Wong, M. D. F., 18 Nov 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2013. Henkel, J. (ed.). IEEE, p. 24-31 8 p. 6691093. (Proceedings of IEEE International Conference on Computer-Aided Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    5 Citations (Scopus)
  • Enhanced spacer-is-dielectric (SID) decomposition flow with model-based verification

    Du, Y., Song, H., Shiely, J. & Wong, M. D. F., Feb 2013, Design for Manufacturability through Design-Process Integration VII. Mason, M. E. & Sturtevant , J. L. (eds.). SPIE, 86840D. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8684).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    5 Citations (Scopus)
  • Evaluation of cost-driven triple patterning lithography decomposition

    Tian, H., Zhang, H., Ma, Q. & Wong, M. D. F., Feb 2013, Design for Manufacturability through Design-Process Integration VII. Mason, M. E. & Sturtevant , J. L. (eds.). SPIE, 868407. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8684).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    7 Citations (Scopus)
  • Linear time algorithm to find all relocation positions for EUV defect mitigation

    Du, Y., Zhang, H., Ma, Q. & Wong, M. D. F., 22 Jan 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 261-266 6 p. 6509606. (Proceedings of the ASP-DAC Asia South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    4 Citations (Scopus)
  • Network flow modeling for escape routing on staggered pin arrays

    Wu, P.-C. & Wong, M. D. F., 22 Jan 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, p. 193-198 6 p. 6509595. (Proceedings of the ASP-DAC Asia South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    6 Citations (Scopus)
  • Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time

    Xiao, Z., Du, Y., Tian, H. & Wong, M. D. F., 21 Nov 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). Henkel, J. (ed.). IEEE, p. 32-39 8 p. 6691094. (IEEE International Conference on Computer-Aided Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    12 Citations (Scopus)
  • Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography

    Du, Y., Ma, Q., Song, H., Shiely, J., Luk-Pat, G., Miloslavsky, A. & Wong, M. D. F., 29 May 2013, 50th ACM/IEEE Design Automation Conference 2013. p. 1-6 6 p. 93. (Proceedings of ACM/IEEE Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    31 Citations (Scopus)
  • The nature of optimization problem challenges in physical synthesis

    Bustany, I., Markov, I. & Wong, M., Jun 2013, 2013 American Control Conference. IEEE, p. 6057-6059 3 p. 6580787. (Proceedings of American Control Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • 2012

    Algorithmic study on the routing reliability problem

    Ma, Q., Xiao, Z. & Wong, M. D. F., 19 Mar 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED). IEEE, p. 483-488 6 p. 6187537. (IEEE International Symposium on Quality Electronic Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    3 Citations (Scopus)
  • A polynomial time exact algorithm for self-aligned double patterning layout decomposition

    Xiao, Z., Du, Y., Zhang, H. & Wong, M. D. F., 25 Mar 2012, ISPD'12 - Proceedings of the 2012 International Symposium on Physical Design. Hu, J. (ed.). Association for Computing Machinery (ACM), p. 17-24 8 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    21 Citations (Scopus)
  • A polynomial time triple patterning algorithm for cell based row-structure layout

    Tian, H., Zhang, H., Ma, Q., Xiao, Z. & Wong, M. D. F., 5 Nov 2012, ICCAD '12: Proceedings of the International Conference on Computer-Aided Design. Hu, A. J. (ed.). Association for Computing Machinery (ACM), p. 57-64 8 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    64 Citations (Scopus)
  • Characterization and decomposition of self-aligned quadruple patterning friendly layout

    Zhang, H., Du, Y., Wong, M. D. F. & Topaloglu, R. O., Feb 2012, Optical Microlithography XXV. Conley , W. (ed.). SPIE, 83260F. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8326).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    18 Citations (Scopus)
  • Efficient multi-die placement for blank defect mitigation in EUV lithography

    Du, Y., Zhang, H., Wong, M. D. F., Deng, Y. & Topaloglu, R. O., Feb 2012, Extreme Ultraviolet (EUV) Lithography III. Naulleau, P. P. & Wood II , O. R. (eds.). SPIE, 832231. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8322).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    14 Citations (Scopus)
  • Efficient parallel power grid analysis via Additive Schwarz method

    Yu, T., Xiao, Z. & Wong, M. D. F., 5 Nov 2012, ICCAD '12: Proceedings of the International Conference on Computer-Aided Design. Hu, A. J. (ed.). Association for Computing Machinery (ACM), p. 399-406 8 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    17 Citations (Scopus)
  • Efficient pattern relocation for EUV blank defect mitigation

    Zhang, H., Du, Y., Wong, M. D. F. & Topalaglu, R. O., 30 Jan 2012, 17th Asia and South Pacific Design Automation Conference. IEEE, p. 719-724 6 p. 6165049. (Proceedings of the ASP-DAC Asia South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    20 Citations (Scopus)
  • Hybrid lithography optimization with E-Beam and immersion processes for 16nm 1D gridded design

    Du, Y., Zhang, H., Wong, M. D. F. & Chao, K.-Y., 30 Jan 2012, 17th Asia and South Pacific Design Automation Conference. IEEE, p. 707-712 6 p. 6165047. (Proceedings of the ASP-DAC Asia South Pacific Design Automation Conference).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    45 Citations (Scopus)
  • Impact of lithography retargeting process on low level interconnect in 20nm technology

    Zhang, H., Deng, Y., Kye, J. & Wong, M. D. F., 3 Jun 2012, Proceedings of the International Workshop on System Level Interconnect Prediction, SLIP'12. Association for Computing Machinery (ACM), p. 3-10 8 p. (International Workshop on System Level Interconnect Prediction, SLIP).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    4 Citations (Scopus)
  • Layout small-angle rotation and shift for EUV defect mitigation

    Zhang, H., Du, Y., Wong, M. D. F., Deng, Y. & Mangat, P., 5 Nov 2012, ICCAD '12: Proceedings of the International Conference on Computer-Aided Design. Hu, A. J. (ed.). Association for Computing Machinery (ACM), p. 43-49 7 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    25 Citations (Scopus)
  • Linear time EUV blank defect mitigation algorithm considering tolerance to inspection inaccuracy

    Du, Y., Zhang, H. & Wong, M. D. F., Sept 2012, Photomask Technology 2012. Abboud, F. E. & Faure, T. B. (eds.). SPIE, 964103. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 8522).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    10 Citations (Scopus)
  • On simulated annealing in EDA

    Wong, M. D. F., 25 Mar 2012, ISPD'12 - Proceedings of the 2012 International Symposium on Physical Design. Hu, J. (ed.). Association for Computing Machinery (ACM), p. 63 1 p. (Proceedings of the International Symposium on Physical Design).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review