Search results

  • 2005

    CMP aware shuttle mask floorplanning

    Xu, G., Tian, R., Pan, D. Z. & Wong, M. D. F., Jan 2005, ASP-DAC '05: Proceedings of the 2005 Asia and South Pacific Design Automation Conference. United States: Association for Computing Machinery (ACM), p. 1111-1114 4 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    13 Citations (Scopus)
  • Crowdedness-balanced multilevel partitioning for uniform resource utilization

    Cheon, Y. & Wong, M. D. F., Jan 2005, ASP-DAC '05: Proceedings of the 2005 Asia and South Pacific Design Automation Conference. United States: Association for Computing Machinery (ACM), p. 418-423 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • Current calculation on VLSI signal interconnects

    Shao, M., Gao, Y., Yuan, L. P., Chen, H. M. & Wong, M. D., Mar 2005, Proceedings of 6th International Symposium on Quality Electronic Design, ISQED 2005. United States: IEEE, p. 580-585 6 p. (Proceedings of International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    8 Citations (Scopus)
  • Energy optimization in memory address bus structure for application-specific systems

    Deng, L. & Wong, M. D. F., Apr 2005, GLSVLSI '05: Proceedings of the 15th ACM Great Lakes symposium on VLSI. United States: Association for Computing Machinery (ACM), p. 232-237 6 p.

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • Exact algorithms for coupling capacitance minimization by adding one metal layer

    Xiang, H., Chao, K. Y. & Wong, M. D. F., Mar 2005, Proceedings of 6th International Symposium on Quality Electronic Design, ISQED 2005. United States: IEEE, p. 181-186 6 p. (Proceedings of International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • Fast algorithms for IR drop analysis in large power grid

    Zhong, Y. & Wong, M. D. F., Nov 2005, Proceedings of the 2005 International Conference on Computer-Aided Design. United States: IEEE, p. 351-357 7 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    108 Citations (Scopus)
  • Floorplanning for 3-D VLSI design

    Cheng, L., Deng, L. & Wong, M. D. F., Jan 2005, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ASP-DAC 2005. United States: Association for Computing Machinery (ACM), p. 405-411 7 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    70 Citations (Scopus)
  • Henry V and The Invasion of France: Rethinking the Moral Justification

    MacKenzie, C. G.  ., 2005, In: The Upstart Crow. 25, p. 65-70 6 p.

    Research output: Contribution to journalJournal articlepeer-review

    Open Access
  • IR drop and ground bounce awareness timing model

    Shao, M., Gao, Y., Yuan, L. P. & Wong, M. D. F., May 2005, Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design, ISVLSI 2005. Smailagic, A. & Ranganathan, N. (eds.). United States: IEEE, p. 226-231 6 p. (Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    17 Citations (Scopus)
  • Manufacturability-aware physical layout optimizations

    Pan, D. Z. & Wong, M. D. F., May 2005, 2005 International Conference on Integrated Circuit Design and Technology, ICICDT. IEEE, p. 149-153 5 p. (International Conference on Integrated Circuit Design and Technology, ICICDT).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    8 Citations (Scopus)
  • Optimal redistribution of white space for wire length minimization

    Tang, X., Tian, R. & Wong, M. D. F., Jan 2005, ASP-DAC '05: Proceedings of the 2005 Asia and South Pacific Design Automation Conference. United States: Association for Computing Machinery (ACM), p. 412-417 6 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    37 Citations (Scopus)
  • Optimal routing algorithms for pin clusters in high-density multichip modules

    Ozdal, M. M., Wong, M. D. F. & Honsinger, P. S., Nov 2005, Proceedings of the 2005 International Conference on Computer-Aided Design. United States: IEEE, p. 766-773 8 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    6 Citations (Scopus)
  • Post-placement voltage island generation under performance requirement

    Wu, H., Liu, I. M., Wong, M. D. F. & Wang, Y., Nov 2005, Proceedings of the 2005 International Conference on Computer-Aided Design. United States: IEEE, p. 309-316 8 p. (IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD; vol. 2005).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    75 Citations (Scopus)
  • Recent results in low power research (Extended Abstract)

    Wong, M. D. F., Oct 2005, ASICON 2005: 2005 6th International Conference on ASIC, Proceedings. IEEE, p. 9-10 2 p. (International Conference on ASIC Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • Redundant-via enhanced maze routing for yield improvement

    Xu, G., Huang, L. D., Pan, D. Z. & Wong, M. D. F., Jan 2005, ASP-DAC '05: Proceedings of the 2005 Asia and South Pacific Design Automation Conference. United States: Association for Computing Machinery (ACM), Vol. 2. p. 1148-1151 4 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    75 Citations (Scopus)
  • Simultaneous power supply planning and noise avoidance in floorplan design

    Chen, H. M., Huang, L. D., Liu, I. M. & Wong, M. D. F., Apr 2005, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 24, 4, p. 578-587 10 p.

    Research output: Contribution to journalJournal articlepeer-review

    21 Citations (Scopus)
  • Wire planning with bounded over-the-block wires

    Xiang, H., Liu, I. M. & Wong, M. D. F., Mar 2005, Proceedings of 6th International Symposium on Quality Electronic Design, ISQED 2005. United States: IEEE, p. 622-627 6 p. (Proceedings of International Symposium on Quality Electronic Design, ISQED).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    1 Citation (Scopus)
  • 2004

    A multi-objective floorplanner for shuttle mask optimization

    Xu, G., Tian, R., Pan, D. Z. & Wong, M. D. F., Sept 2004, 24th Annual BACUS Symposium on Photomask Technology. Staud, W. & Weed, J. T. (eds.). SPIE, p. 340-350 11 p. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 5567).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    22 Citations (Scopus)
  • An ECO algorithm for eliminating crosstalk violations

    Xiang, H., Chao, K. Y. & Wong, M. D. F., 19 Apr 2004, ISPD '04: Proceedings of the 2004 international symposium on Physical design. United States: Association for Computing Machinery (ACM), p. 41-46 6 p. (Proceedings of The ACM International Symposium on Physical Design, ISPD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    7 Citations (Scopus)
  • A Polynomial Time-Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem

    Huang, L. D., Tang, X., Xiang, H., Wong, D. F. & Liu, I. M., Jan 2004, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 23, 1, p. 141-147 7 p.

    Research output: Contribution to journalJournal articlepeer-review

    16 Citations (Scopus)
  • A provably good algorithm for high performance bus routing

    Ozdal, M. M. & Wong, M. D. F., Nov 2004, Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2004. United States: IEEE, p. 830-837 8 p. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    14 Citations (Scopus)
  • A two-layer bus routing algorithm for high-speed boards

    Ozdal, M. M. & Wong, M. D. F., 11 Oct 2004, Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2004. United States: IEEE, p. 99-105 7 p. (Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    4 Citations (Scopus)
  • Bus-driven floorplanning

    Xiang, H., Tang, X. & Wong, M. D. F., Nov 2004, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 23, 11, p. 1522-1530 9 p.

    Research output: Contribution to journalJournal articlepeer-review

    14 Citations (Scopus)
  • Floorplan design for multi-million gate FPGAs

    Cheng, L. & Wong, M. D. F., Nov 2004, Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2004. United States: IEEE, p. 292-299 8 p. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    23 Citations (Scopus)
  • I/O clustering in design cost and performance optimization for flip-chip design

    Chen, H. M., Liu, I. M., Wong, M. D. F., Shao, M. & Huang, L. D., 13 Oct 2004, Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD 2004. United States: IEEE, p. 562-567 6 p. (Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors, ICCD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    9 Citations (Scopus)
  • On Handling Arbitrary Rectilinear Shape Constraint

    Tang, X. & Wong, M. D. F., 28 Jan 2004, Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC 2004. United States: IEEE, p. 38-41 4 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    6 Citations (Scopus)
  • Optical proximity correction (OPC): friendly maze routing

    Huang, L. D. & Wong, M. D. F., Jun 2004, 41st ACM/IEEE Design Automation Conference - Proceedings 2004. United States: Association for Computing Machinery (ACM), p. 186-191 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • Optimal algorithm for minimizing the number of twists in an on-chip bus

    Deng, L. & Wong, M. D. F., Feb 2004, Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, DATE 2004. Gielen, G. & Figueras, J. (eds.). IEEE, p. 1104-1109 6 p. (Proceedings of Design, Automation and Test in Europe Conference and Exhibition, DATE; vol. 2).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • Rectilinear Steiner Tree Construction Using Answer Set Programming

    Erdem, E. & Wong, M. D. F., 24 Aug 2004, Logic Programming: 20th International Conference, ICLP 2004, Saint-Malo, France, September 6-10, 2004, Proceedings. Demoen, B. & Lifschitz, V. (eds.). Germany: Springer Berlin Heidelberg, p. 386-399 14 p. (Lecture Notes in Computer Science (LNCS); vol. 3132).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    14 Citations (Scopus)
  • Simultaneous escape routing and layer assignment for dense PCBS

    Ozdal, M. M. & Wong, M. D. F., Nov 2004, Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2004. United States: IEEE, p. 822-829 8 p. (Proceedings of IEEE/ACM International Conference on Computer-Aided Design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    38 Citations (Scopus)
  • Tradeoff Routing Resource, Runtime and Quality in Buffered Routing

    Tang, X. & Wong, M. D. F., 29 Jan 2004, Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC 2004. United States: IEEE, p. 430-433 4 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • 2003

    A fast and accurate method for interconnect current calculation

    Shao, M., Wong, D. F., Gao, Y., Cao, H. & Yuan, L. P., Jan 2003, ASP-DAC '03: Proceedings of the 2003 Asia and South Pacific Design Automation Conference. United States: Association for Computing Machinery (ACM), p. 37-42 6 p. (Proceedings of The Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    8 Citations (Scopus)
  • A Min-Cost Flow Based Detailed Router for FPGAs

    Lee, S., Cheon, Y. & Wong, M. D. F., Nov 2003, Proceedings of The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2003. United States: IEEE, p. 388-393 6 p. (Proceedings of the IEEE/ACM international conference on Computer-aided design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    9 Citations (Scopus)
  • Analysis of FPGA/FPIC switch modules

    Chang, Y. W., Zhu, K., Wu, G. M., Wong, D. F. & Wong, C. K., Jan 2003, In: ACM Transactions on Design Automation of Electronic Systems. 8, 1, p. 11-37 27 p.

    Research output: Contribution to journalJournal articlepeer-review

    2 Citations (Scopus)
  • Blade and razor: cell and interconnect delay analysis using current-based models

    Croix, J. F. & Wong, D. F., Jun 2003, 40th ACM/IEEE Design Automation Conference - Proceedings 2003. United States: Association for Computing Machinery (ACM), p. 386-389 4 p. (ACM/IEEE Design Automation Conference - Proceedings 2003).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    95 Citations (Scopus)
  • Bus-Driven Floorplanning

    Xiang, H., Tang, X. & Wong, M. D. F., Nov 2003, Proceedings of The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2003. United States: IEEE, p. 66-73 8 p. (Proceedings of the IEEE/ACM international conference on Computer-aided design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

  • Design hierarchy-guided multilevel circuit partitioning

    Cheon, Y. & Wong, M. D. F., Apr 2003, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 22, 4, p. 420-427 8 p.

    Research output: Contribution to journalJournal articlepeer-review

    8 Citations (Scopus)
  • Explicit gate delay model for timing evaluation

    Shao, M., Wong, M. D. F., Cao, H., Gao, Y., Yuan, L. P., Huang, L. D. & Lee, S., Apr 2003, ISPD '03: Proceedings of the 2003 international symposium on Physical design. United States: Association for Computing Machinery (ACM), p. 32-38 7 p. (Proceedings of the International Symposium on Physical Design, ISPD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    16 Citations (Scopus)
  • Floorplanning with power supply noise avoidance

    Chen, H. M., Huang, L. D., Liu, I. M., Lai, M. & Wong, D. F., Jan 2003, ASP-DAC '03: Proceedings of the 2003 Asia and South Pacific Design Automation Conference. United States: Association for Computing Machinery (ACM), p. 427-430 4 p. (Proceedings of The Asia and South Pacific Design Automation Conference, ASP-DAC).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    14 Citations (Scopus)
  • Global Wire Bus Configuration with Minimum Delay Uncertainty

    Huang, L. D., Chen, H. M. & Wong, D. F., Mar 2003, Proceedings of the Design, Automation & Test in Europe Conference and Exhibition, DATE 2003. Wehn, N. & Verkest, D. (eds.). IEEE, 6 p. (Proceedings of the Design, Automation and Test in Europe Conference and Exhibition).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    5 Citations (Scopus)
  • Length-matching routing for high-speed printed circuit boards

    Ozdal, M. M. & Wong, M. D. F., Nov 2003, Proceedings of The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2003. United States: IEEE, p. 394-400 7 p. (Proceedings of the IEEE/ACM international conference on Computer-aided design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    27 Citations (Scopus)
  • Maze routing with buffer insertion under transition time constraints

    Huang, L. D., Lai, M., Wong, D. F. & Gao, Y., Jan 2003, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 22, 1, p. 91-96 6 p.

    Research output: Contribution to journalJournal articlepeer-review

    7 Citations (Scopus)
  • Min-cost flow-based algorithm for simultaneous pin assignment and routing

    Xiang, H., Tang, X. & Wong, M. D. F., Jul 2003, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 22, 7, p. 870-878 9 p.

    Research output: Contribution to journalJournal articlepeer-review

    16 Citations (Scopus)
  • Shuttle Mask Floorplanning

    Xu, G., Tian, R., Wong, D. F. & Reich, A., Sept 2003, 23rd Annual BACUS Symposium on Photomask Technology. Kimmel, K. R. & Staud, W. (eds.). SPIE, p. 185-194 10 p. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 5256).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    20 Citations (Scopus)
  • Stable Multiway Circuit Partitioning for ECO

    Cheon, Y., Lee, S. & Wong, M. D. F., Nov 2003, Proceedings of The IEEE/ACM International Conference on Computer-Aided Design, ICCAD 2003. United States: IEEE, p. 718-725 8 p. (Proceedings of the IEEE/ACM international conference on Computer-aided design, ICCAD).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    2 Citations (Scopus)
  • Timing-driven routing for FPGAs based on Lagrangian relaxation

    Lee, S. & Wong, M. D. F., Apr 2003, In: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 22, 4, p. 506-511 6 p.

    Research output: Contribution to journalJournal articlepeer-review

    23 Citations (Scopus)
  • Together again: Theater in postcolonial Hong Kong

    MacKenzie, C. G. & Arthurs, M., 2003, In: Comparative Drama. 37, 1, p. 75-87 13 p.

    Research output: Contribution to journalJournal articlepeer-review

    1 Citation (Scopus)
  • Wire type assignment for FPGA routing

    Lee, S., Xiang, H., Wong, D. F. & Sun, R. Y., Feb 2003, FPGA '03: Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays. United States: Association for Computing Machinery (ACM), p. 61-67 7 p. (Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    5 Citations (Scopus)
  • 2002

    An algorithm for integrated pin assignment and buffer planning

    Xiang, H., Tang, X. & Wong, D. F., Jun 2002, 39th ACM/IEEE Design Automation Conference - Proceedings 2002. Association for Computing Machinery (ACM), p. 584-589 6 p. (ACM/IEEE Design Automation Conference - Proceedings).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    Open Access
    6 Citations (Scopus)
  • A polynomial time optimal diode insertion/routing algorithm for fixing antenna problem

    Huang, L. D., Tang, X., Xiang, H., Wong, D. F. & Liu, I. M., Mar 2002, Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, DATE 2002. Kloos, C. D. & da Franca, J. (eds.). United States: IEEE, p. 470-475 6 p. (Proceedings of Design, Automation and Test in Europe Conference and Exhibition, DATE).

    Research output: Chapter in book/report/conference proceedingConference proceedingpeer-review

    7 Citations (Scopus)